Search
  • facebook
 

Publication

  • HOME
  • Publication
  • Papers

Papers

2024

  • J. Kim, H. Kang, Y. Kim, M. Jeon, H. Chae, "Low Global Warming C5F10O Isomer for Plasma Atomic Layer Etching and Reactive Ion Etching of SiO2 and Si3N4", Plasma. Process. Polym. (2024) 

     

2023

  • Y. Kim, H. Kang, H. Ha, M. Choi, M. Jeon, S. Cho, H. Chae, "Plasma Atomic Layer Etching of Ruthenuim with Surface Fluorination and Ion Bombardment", Plasma. Process. Polym. (2023)

  • S. Lee, H. Choi, J. Kim, H. Chae, "Spectral Clustering Algrorithm for Real-time Endpoint Detection of Silicon Nitride Plasma Etching", Plasma. Process. Polym. 20(6) (2023)

  • Y. Kim, H. Kang, C. Kim, H. Chae, "Plasma Atomic Layer Etching of SiO2 and Si3N4 with Low Global Warming C4H3F7O Isomers", ACS Sustain. Chem. Eng. 11(16), 6136 (2023)

  • Y. Kim, H. Kang, H. Ha, C. Kim, S. Cho, H. Chae, "Plasma Atomic Layer Etching of Molybdenum with Surface Florination", Appl. Surf. Sci. 627, 157309 (2023)

  • Y. Kim, S. Chae, H. Ha, H. Lee, S. Lee, H. Chae, "Thermal Atomic Layer Etching of Cobalt using Plasma Chlorination and Chelation with Hexafluoroacetylacetone", Appl. Surf. Sci. 619, 156751 (2023)

  • A. Ali, W. Jiang, Y. Choi, B. Kim, K. Lee, H. Chae, "Control of the Reaction Kinetics of Monodispersed InP/ZnSeS/ZnS-Based Quantum Dots Using Organophosphorus Compounds for Electroluminescent Devices", J. Phys. Chem. Lett. 14(6), 1656 (2023)

2022

  • A. Ali, W. Jiang, Y. Choi, E. Jeon, H. Chae, "Enhanced Charge Balance with Antibiotics in both Electron and Hole Transport Layers of InP/ZnSexS1–x/ZnS-based Quantum Dot Light-Emitting Diodes", J. Alloys Compd. 900, 163449 (2022)

  • N. Kim, H. Choi, Z. Shahzad, H. Ki, J. Lee, H. Chae, Y. Kim, "Supramolecular Assembly of Protein Building Blocks: from Folding to Function", Nano Converg. 9, 1 (2022)

  • Y. Lee, Y. Kim, J. Son, H. Chae, "Low-temperature Plasma Atomic Layer Etching of Molybdenum via Sequential Oxidation and Chlorination", J. Vac. Sci. Technol. A. 40(2), 022602 (2022)

  • D. Shim, J. Kim, Y. Kim, H. Chae, "Plasma Atomic Layer Etching for Titanium Nitride at Low Temperatures", J. Vac. Sci. Technol. B. 40, 022208 (2022) 

  • M.S. Zafar, G. Dastgeer, A. Kalam, A.G. Al-Sehemi, M. Imran, Y. Kim, H. Chae, "Precise and Prompt Analyte Detection via Ordered Orientation of Receptor in WSe2-Based Field Effect Transistor", J. Nanomater. 12, 8 (2022)

  • J. Kim, D. Shim, Y. Kim, H. Chae, "Atomic Layer Etching  of Al2O3 with NF3 Plasma Fluorination and Trimethylaluminum Ligand Exchange", J. Vac. Sci. Thechnol. A. 40, 032603 (2022)

  • S. You, Y. Lee, H. Chae, C. Kim, "Plasma Etching of SiO2 Contact Holes using Hexafluoroisopropanol and C4F8", Coatings 12, 5 (2022)

  • J. Li, Y. Kim, S. Han, J. Niu, H. Chae, "Quantitative Analysis of Mass Spectrometric Signals for the Estimation of Fluorine Radical Densities in CF4 and CF4/O2 Plasmas", Plasma Chem. Plasma Process. 42, 4 (2022)

  • G. Dastgeer, ZM. Shahzad, H. Chae, Y. Kim, B. Ko, J. Eom, "Bipolar Junction Transistor Exhibiting Excellent Output Characteristics with a Prompt Response against the Selective Protein", Adv. Funct. Mater. 2204781 (2022)

  • Y. Kim,  S. Kim, H. Kang, S. You, C. Kim, H. Chae,  "Low Global Warming C4H3F7O Isomers for Plasma Etching of SiO2 and Si3N4 Films", ACS Sustain. Chem. Eng. 10(32), 10537 (2022)

  • B. Jeon, C. Kim, H. Chae, B. Lim, S. Cho, "Self-Assembled 4-Aminopyridine Monolayer as a Nucleation-Inducing Layer for Transparent Silver Electrodes", ACS Appl. Mater. Interfaces. 14(30), 35167 (2022)

  • YH. No, NH. Kim, MS. Zafar, SH. Park, JC. Lee, H. Chae, WS. Yun, YD. Kim, YH. Kim, "Effect of Secondary Structures on the Adsorption of Peptides onto Hydrophobic Solid Surfaces Revealed by SALDI-TOF and MD Simulations", ACS OMEGA 7(48), 43492 (2022)

2021

  • W. Jang, S. Han, T. Gu, H. Chae, D. Whang, "hBN Flake Embedded Al2O3 Thin Film for Flexible Moisture Barrier", Materials 14, 23 (2021)

  • J. Li, S. Kim, S. Han, H. Chae, "Characterization of sp2/sp3 Hybridization Ratios of Hydrogenated Amorphous Carbon Films Deposited in C2H2 Inductively Coupled Plasmas", Surf. Coat. Technol. 422, 127514 (2021)

  • J. Li, S. Kim, S. Han, Y. Kim, H. Chae, "Etching Characteristics of Hydrogenated Amorphous Carbon with Different sp2/sp3 Hybridization Ratios in CF4/O2 Plasmas", Plasma. Process. Polym. 18(21), 2100075 (2021)

  • J. Kwak, L. Kim, T. Lee, H. Panthi, S. Jeong, S. Han, H.Chae, Y. An, "Comparative Toxicity of Potential Leachates from Perovskite and Silicon Solar Cells in Aquatic Ecosystems", Aquat. Toxicol. 237 (2021)

  • Y. Seo, W. Jang, T. Gu, H. Seok, S. Han, B. Choi, H. Kim, H. Chae, J. Kang, D. Whang, "Defect-Free Mechanical Graphene Transfer using n-Doping Adhesive Gel Buffer", ACS Nano. 15(7), 11276 (2021)

  • J. Li, Y. Kim, S. Han, H. Chae, "Ion-Enhanced Etching Characteristics of sp2-Rich Hydrogenated Amorphous Carbons in CF4 Plasmas and O2 Plasmas", Materials 14(11), 2914 (2021)

  • D. Jo, T. Dang, T. Tran, M. Kim, H. Chung, S. Jung, S. Cho, H. Chae, D. Yoon, "Fabrication of Color Conversion Layers using Adhesive Transfer of Phosphor Particles for Improving Light Extraction Efficiency and Uniformity of Down-conversion White OLED", Opt. Mater. 114, 110772 (2021)

  • W. Lee, C. Lee, B. Kim, Y. Choi, H. Chae, "Enhancing the Efficiency of Solution-processed Inverted Quantum Dot Light-emitting Diodes via Ligand Modification with 6-mercaptohexanol", Opt. Lett. 46(6), 1434 (2021)

  • W. Jiang, Y. Choi, H.Chae, "Efficient Green Indium Phosphide Quantum Dots with Tris(dimethylamino)-phosphine Phosphorus Precursor for Electroluminescent Devices", J. Mater. Sci. Mater. Electron. 32(4), 4686 (2021)

2020

  • C. Lee, H. Moon, J. Kim, H. Kim, H. Chae, "Ethanedithiol Treatment on Zinc Oxide Films for Highly Efficient Quantum Dot Light-Emitting Diodes by Reducing Exciton Quenching", J. Opt. Soc. Am. B 37(2), 304 (2020)

  • S. Kim, S. Yong, H. Ahn, Y. Shin, H. Chae, "Improvement in the moisture barrier properties and flexibility by reducing hydrogen dangling bonds in SiNx thin films with plasma surface treatment", Surf. Coat. Technol. 383(15), 125210 (2020)

  • Y. Cho, Y. Kim, S. Kim, H. Chae, "Atomic layer etching of SiO2 for surface cleaning using ammonium fluorosilicate with CF4/NH3 plasma", J. Vac. Sci. Technol. A  38, 022604 (2020)

  • Y. Kim, S. Lee, Y. Cho, S. Kim, H. Chae, "Plasma atomic layer etching of SiO2 and Si3N4 with heptafluoropropyl methyl ether (C3F7OCH3)", J. Vac. Sci. Technol. A  38, 022606 (2020)

  • S. Lee, S. Kim, H. Chae, "Sensitivity Enhancement of SiO2 Plasma Etching Endpoint Detection using Modified Gaussian Mixture Model", IEEE Trans. Semicond. Manuf. 33(2), 252 (2020)

  • S. Kim, S. Yong, Y. Choi, H. Hwangbo, W. Yang, H. Chae, "Flexible Al2O3/plasma polymer multilayer moisture barrier films deposited by a spatial atomic layer deposition process", J. Vac. Sci. Technol. A 38, 022418 (2020)

  • S. Kim, S. Yong, Y. Choi, H. Chae, "Radical analysis and residence-time effect of silicon nitride atomic layer deposition processes with trisilylamine and NH3 plasmas", Appl. Phys. Express 13, 066002 (2020)

  • H. Tran, W. Jiang, M. Lyu, H. Chae, "Tetrahydrofuran as Solvent for P3HT/F4-TCNQ Hole-Transporting Layer to Increase the Efficiency and Stability of FAPbI3-Based Perovskite Solar Cell", J. Phys. Chem. C 124(26), 14099 (2020)

  • W. Jiang, B. Kim, H. Chae, "Phenethylamine ligand engineering of red InP quantum dots for improving the efficiency of quantum dot light-emitting diodes", Opt. Lett. 45(20), 5800 (2020)

  • W. Lee, B. Kim, Y. Choi, H. Chae, "Polyethylenimine-ethoxylated dual interfacial layers for highly efficient and all-solution-processed inverted quantum dot light-emitting diodes", Opt. Express 28(23), 33971 (2020)

  • W. Lee, C. Lee, B. Kim, Y. Choi, H. Chae, "Synthesis of Blue-Emissive InP/GaP/ZnS Quantum Dots via Controlling the Reaction Kinetics of Shell Growth and Length of Capping Ligands", Nano Mater. 10(11), 2171 (2020)

  • W. Jiang, H. Chae, "Efficiency Enhancement of Tris(dimethylamino)-phosphine-Based Red Indium Phosphide Quantum-Dot Light-Emitting Diodes via Chlorine-Doped ZnMgO Electron Transport Layers" ,J. Phys. Chem. C 124, 25221 (2020)

  • JU. Kim, SJ. Kang, S. Lee, J. Ok, Y. Kim, SH. Roh, H. Hong, JK. Kim, H. Chae, SJ. Kwon, TI. Kim, "Omnidirectional, Broadband Light Absorption in a Hierarchical Nanoturf Membrane for an Advanced Solar-Vapor Generator", Adv. Funct. Mater. 30(50), 2003862 (2020)

2019

  • V, Arasu, D. Jo, H. Chae, H. K. Chung, S. H. Park, " Configuration -and- concentration- dependent hybrid white light generation using red, green, and blue quantum dots embedded in DNA thin flims", Nanoscale Adv. 1, 602 (2019)

  • H. Moon, C. Lee, W. Lee, J. Kim, H. Chae, " Stability of Quantum Dots, Quantum Dot Films, and Quantum Dot Light-Emittinf Diodes for Display Applications", Adv. Mater. 1804294 (2019)

  • H. Jin, H. Moon, W. Lee, H. Hwangbo, S. H. Yong, H. K. Chung, H. Chae, " Charge balance control of quantum dot light emitting diodes with atomic layer deposited aluminum oxide interlayers", RSC Adv. 9, 11634 (2019)

  • C. Lee, B. Kim, H. Jin, H. Moon, J. Kim, H. Chae, "Reduction of fluorescence resonance energy transfer by space control between quantum dits via direct bonding of reactive ligands to the polymer matrix for color conversion films", J. Opt. Soc. Am. B 36(6), 1479 (2019)

  • C. Lee, E. Nam, W. Lee, H. Chae, "Hydrosilylation of Reactive Quantum Dots and Siloxanes for Stable Quatum Dot Films", Polymers 11(5), 905 (2019)

  • C. Lee, E. Nam, H. Chae, " Photo-stable cross-linked micron bead with functionalized quantum via suspension polymerization for colar conversion", Polymers 117, 244 (2019)

  • E. Nam, C. Lee, S. J. Kim, H. K. Chung, H. Chae, "Stability and dispersion improvement of quantum-dot ligands and a siloxane matrix", Opt. Express 27(14), 20037 (2019)

  • T. Cha, Y. Kim, S. Lee, Y. Cho, H. Chae, "Low-global warming potential fluorether compounds for plasma etching of SiO2 and Si3N4 layer", J. Vac. Sci. Technol. 37, 051302 (2019)

  • H. Kim, W. Lee, H. Moon, S. J. Kim, H. K. Chung, H. Chae, "Interlayer doping with p-type dopant for charge balance in indium phosphide (InP)-based quantum dot light-emitting  diodes", Opt. Express 27(16), A1287 (2019)

  • S. Yong, S. Kim, H. Chae, "Surface Planarization of Low-Temperature Flowable Silicon Oxide for Atomic Layer Deposition Al2O3  Thin Film Encapsulation", J. Nanosci. Nanotechnol. 19(5), 2882 (2019)

  • H. Moon, W. Lee, H. Chae, "Energy-Band Alignment and Charge Balance of Electron Transport Layer With Quinary Zn–Mg–Ga–Cl–O Nanoparticles in InP-Based Quantum Dot Light Emitting Diodes", IEEE Electron Device Lett. 40(11), 1872 (2019)

  • H. Moon, W. Lee, J. Kim, D. Lee, S. Cha, S. Shin, H. Chae, "Composition-tailored ZnMgO nanoparticles for electron transport layers of highly efficient and bright InP-based quantum dot light emitting diodes", Chem. Commun. 55, 13299 (2019)

  • H. Moon, H. Chae, "Efficiency Enhancement of All-Solution Processed InvertedStructure Green Quantum Dot Light-Emitting Diodes Via Partial Ligand Exchange with Thiophenol Derivatives Having Negative Dipole Moment", Adv. Opt. Mater. 8, 1901314 (2019)

  • Y. Choi, S. Yong, S. Kim, H Hwangbo, S. Cho, C. L. Pu, H. Chae, "Hygroscopic interlayers for multilayer Al2O3 barrier films", Thin Solid Films 690, 1375242 (2019)

  • YF. Ma, W. Jiang, JM. Han, ZM. Tong, M. Wang, J. Suhr, XY. Chen, LT. Xiao, ST. Jia, H. Chae, "Experimental Investigation on Vertically Oriented Graphene Grown in a Plasma-Enhanced Chemical Vapor Deposition Process", ACS Appl. Mater. Interfaces 11(10), 10237, (2019)

  • S. Kim, J. Kim, D. Kim, B. Kim, H. Chae, H. Yi, B. Hwang, "High-Performance Transparent Quantum Dot Light-Emitting Diode with Patchable Transparent Electrodes", ACS Appl. Mater. Interfaces 11(29), 26333, (2019)

  • S. Lee, H. Hwang, TH. Kim, SJ. Kwon, JU. Kim, K. Koh, B. Park, H. Hong, KJ. Yu, H. Chae, Y. Jung, J. Lee, TI. Kim, "On-Demand Drug Release from Gold Nanoturf for a Thermo- and Chemotherapeutic Esophageal Stent", ACS Nano 12(12), 12942, (2019)

2018

  • S. H. Yong, H. J. Ahn, S. J. Kim, J. S. Park, S. Kwon, S. M. Cho, D. Jung, H. Chae, "Room Temperature Deposition of SiNx and Plasma Polymer Layers for Flexible Multilayer Barrier Films by Plasma Enhanced Chemical Vapor Deposition Processes", Nano.13, 1850082 (2018)

  • Y. Fu, W. Jiang, D. Kim, W. Lee, H. Chae, "Highly Efficient and Fully Solution-Processed Inverted Light-Emitting Diodes with Charge Control Interlayers", ACS. Appl. Mater. Interfaces 10, 17295 (2018)

  • S. H. Yong, S. J. Kim, J. S. Park,  H. J. Ahn, S. M. Cho, H. Chae, "Flexible Carbon-rich Al2O3 Interlayers for Moisture Barrier Films by a Spatially-Resolved Atomic Layer Deposition Process", J. Korean Phys. Soc. 73(1), 40 (2018)

  • S. H. Yong, S. J. Kim, S. M. Cho, H. Chae, "Spatially-Resolved Remote Plasma Atomic Layer Deposition Process for Moisture Barrier Al2O3 Films", J. Korean Phys. Soc. 73(1), 45 (2018)

  • S. H. Yong, S. J. Kim, H. Chae, "Surface Planarization of Low-Temperature Flowable Silicon Oxide for Atomic Layer Deposition Al2O3  Thin Film Encapsulation ", J. Nanosci. Nanotechnol18, 1 (2018)

  • J. S. Park, S. H. Yong, Y. J. Choi,  H. Chae"Residual stress analysis and control of multilayer flexible moisture barrier films with SiNx and Al2O3 layers", AIP Adv. 8, 085101 (2018)

  • H. Choi, C. Kim, H. Chae, SM. Cho, "Efficiency enhancement of organic light-emitting diodes using mesoporous titanium-oxide scattering nanoparticles", Mater. Lett. 214, 1 (2018)

  • BS. Kim, H. Chae, HK. Chung, SM. Cho, "Electrical and optical analyses of tandem organic light-emitting diodes with organic charge-generation layer", AIP Adv. 8(6), 65303 (2018)

  • S. Lee, H. Hwang, TH. Kim, SJ. Kwon, JU. Kim, K. Koh, B. Park, H. Hong, KJ. Yu, H. Chae, Y. Jung, J. Lee, TI. Kim, "On-Demand Drug Release from Gold Nanoturf for a Thermo- and Chemotherapeutic Esophageal Stent", ACS Nano 7(12), 6756 (2018)

  • BS. Kim, MK. Kim, DS. Jo, H. Chae, SM. Cho, "Optimal Structure of Color-Conversion Layer for White Organic Light-Emitting Diode on Silver-Nanowire Anode", ECS J. Solid State Sci. Technol. 7(1), R3176 (2018)

2017

  • Y. Fu, D. Kim, H. Moon, H. Yang, H. Chae, "Hexamethyldisilazane-mediated, full-solution-processed inverted quantum dot-light-emitting diodes", J. Mater. Chem. C. 5, 522 (2017)

  • H, Jang, H, Lee, H, Lee, C.K. Kim, H. Chae, "Sensitivity Enhancement of Dielectric Plasma Etching Endpoint Detection by Optical Emission Spectra With Modified K-Means Cluster Analysis", IEEE Trans. Semicond. Manuf. 30, 17 (2017)

  • H. Jang, H. Chae, "Effect of Dual Radio Frequency Biaso Power on SiO2 Sputter Etching in Inductively Coupled Plasma", Nano 12, 1750025 (2017)

  • H. Jang, H. Kim, S. Lee, H. Moon, D. Jung, H. Chae, "Characterization of Low-k SiCOH Film Etching in Fluorocarbon Inductively Coupled Plasmas", J. Nanosci. Nanotechnol. Lett. 5, 174 (2017)

  • D. Kim, Y. Fu, S. Kim, W. Lee, K.H. Lee, H.K. Chung, H.J. Lee, H. Yang, H. Chae, "Polyethylenimine Ethoxylated-Mediated All-Solution-Processed High-Performance Flexible Inverted Quantum Dot-Light-Emitting Device", ACS Nano 11, 1982 (2017)

  • H.Y. Yang, Y. Fu, M Jang, Y. Li, W.P. Yin, T.K. Ahn, J.H. Lee, H. Chae and D.S. Lee, "CdSe@ZnS/ZnS quantum dots loaded in polymeric micelles as a pH-triggerable targeting fluorescence imaging probe for detecting cerebral ischemic area", Colloids Surf. B Biointerfaces 155, 497 (2017)

  • Y. Fu, D. Kim, W. Jiang, W. Yin, T.K. Ahn, H. Chae, "Excellent stability of thicker shell CdSe@ZnS/ZnS quantum dots", RSC Adv. 7, 40866 (2017)

  • K. Koh, Y. Kim, C. Kim and H. Chae,"Quasi atomic layer etching of SiO2 using plasma fluorination for surface cleaning", J. Vac. Sci. Technol. A 36 (1), 01B106 (2017)

  • JH. Kim, SW. Cho, CH. Park, H. Chae, CK. Kim, "Angular dependences of SiO2 etch rates at different bias voltages in CF4, C2F6, and C4F8 plasmas", Thin Solid Films  637, 43 (2017)

  • W. Yin, N. Kim, J. Jeong, KS. Kim, H. Chae, TK. Ahn, "Efficient Heterotransfer between Visible Quantum Dots", J. Phys. Chem. C 121(9), 4799 (2017)

  • SH. Lee, DS. Jo, BS. Kim, DH. Yoon, H. Chae, HK. Chung, SM. Cho, "Hybrid color-conversion layers for white emission from fluorescent blue organic light-emitting diodes", Curr. Appl. Phys. 17(8), 1108 (2017)

  • E. Jung, C. Kim, M. Kim, H. Chae, JH. Cho, SM. Cho, "Roll-to-roll preparation of silver-nanowire transparent electrode and its application to large-area organic light-emitting diodes", Org. Electron. 41, 190 (2017)

  • Y. Park, J. Shim, S. Jeong, GR. Yi, H. Chae, JW. Bae, SO. Kim, C. Pang, "Microtopography-Guided Conductive Patterns of Liquid-Driven Graphene Nanoplatelet Networks for Stretchable and Skin-Conformal Sensor Array", Adv. Mater. 29(21), 1606453 (2017)

     

2016

  • N. Kim, W. Na, W. Yin, H. Jin, T. K. Ahn, S. M. Cho and H. Chae "CuInS2/ZnS quantum dot-embedded polymer nanofibers for color conversion films", J. Mater. Chem. C. 4, 2457 (2016)

  • D. Kim, Y. Fu, J. Kim, K. Lee, H. Kim, H. Yang and H. Chae, "Improved electroluminescence of quantum dot light-emitting diodes enabled by a partial ligand exchange with benzenethiol", Nanotechnol. 27, 245203 (2016)

  • H.Y. Yang, Y. Fu, M.S. Jang, Y. Li, J.H. Lee, H. Chae, D.S. Lee, "Multifunctional Polymer ligand Interface CdZnSeS/ZnS Quantum Dot/Cy3-labeled Protein pairs as sensitive FRET sensors", ACS Appl. Mater. Interfaces 8(51), 35021 (2016)

  • W. Hwang, C. Pang, H. Chae, "Fabrication of aligned nanofibers by electric-field-controlled electrospinning: insulating-block method", Nanotechnol. 27, 435301 (2016)

  • S. Kim, G. Choi, H. Chae, NE. Lee, "Effects of Bias Pulsing on Etching of SiO2 Pattern in Capacitively-Coupled Plasmas for Nano-Scale Patterning of Multi-Level Hard Masks", J. Nanosci. Nanotechnol. 16(5), 5143 (2016)

  • H, Kim, W. Ban, S. Kwon, S. Yong, H. Chae, D. Jung, "Effects of Plasma Polymer Films and Their Deposition Powers on the Barrier Characteristics of the Multilayer Encapsulation for Organic Devices", J. Nanosci. Nanotechnol. 16(5), 5389 (2016)

  • SH. Lim, SW. Seo, H. Lee, H. Chae, SM. Cho, "Extremely flexible organic-inorganic moisture barriers", Kor. J. Chem. Eng. 33(6), 1971 (2016)

  • MJ. Hwang, C. Kim, H. Choi, H. Chae, SM. Cho, "Light extraction from surface plasmon polaritons and substrate/waveguide modes in organic light-emitting devices with silver-nanomesh electrodes", Opt. Express 24(26), 29483 (2016)

  • JH. Choi, J. Kim, SJ. Oh, D. Kim, YH. Kim, H. Chae, H. Kim, "Optical and electrical properties of ZnO nanocrystal thin films passivated by atomic layer deposited Al2O3", Met. Mater. Int. 22(4), 723 (2016)

  • G. Choi, S. Kim, H. Jang, H. Chae, NE. Lee, "Patterning of Si3N4 Layer in Pulse-Biased Capacitively-Coupled Plasmas for Multi-Level Hard Mask Structures", J. Nanosci. Nanotechnol. 16(11), 11817 (2016)

  • SW. Cho, JH. Kim, HM. Lee, H. Chae, CK. Kim, "Superhydrophobic Si surfaces having microscale rod structures prepared in a plasma etching system", Surf. Coat. Technol. 306(82), A (2016)

  • DY. Jo, D. Kim, JH. Kim, H. Chae, HJ. Seo, YR. Do, H. Yang, "Tunable White Fluorescent Copper Gallium Sulfide Quantum Dots Enabled by Mn Doping", ACS Appl. Mater. Interfaces 19(8), 12291 (2016)

  • S. Park, W. Song, SK. Kim, JK. Han, H. Chae, S. Myung, SS. Leee, J. Lim, KS. An, "Monolithic Hybridization of Diverse Carbon Nanotubes with Controlled Structures and Three Dimensional Graphene Foams for Supercapacitor Applications", J. Nanosci. Nanotehcnol. 16(8), 8599 (2016)

     

2015

  • Y. Ma, H. Jang, S. J. Kim, C. Pang and H. Chae, "Copper-Assisted Direct Growth of Vertical Graphene Nanosheets on Glass Substrates by Low-Temperature Plasma-Enhanced Chemical Vapour Deposition Process", Nanoscale. Res. Lett. 10, 308 (2015)

  • Y. Ma, M. Wang, N. Kim, J. Suhr and H. Chae, "A flexible supercapacitor based on vertically oriented ‘Graphene Forest’ electrodes", J. Mater. Chem. A. 3, 21875 (2015)

  • N. Kim, G. Xin, S. M. Cho, C. Pang and H. Chae, "Microwave-reduced graphene oxide for efficient and stable hole extraction layers of polymer solar cells", Curr. Appl. Phys. 15, 953 (2015)

  • E. Jung, H. Lee, H. Chae, SM. Cho, "Effect of Hole-Transport-Layer Thickness on Deep-Blue Emission in Top-Emitting Cavity Organic Light-Emitting Diodes", Electron. Mater. Lett. 11(5), 764 (2015)

  • S. Kim, H. lee, S. Na, E. Jung, JG. Kang, D. Kim, SM. Cho, H. Chae, HK. Chung, SB. Kim, BW. Lee, KE. Kim, S. Lee, HJ. Lee, H. Kim, HJ. Lee, "Enhancement of electrical conductivity of silver nanowires-networked films via the addition of Cs-added TiO2", Nanotechnol. 26(13), 135705 (2015)

  • S. Baik, N. Kim, TI. Kim, H. Chae, KH. Kim, C. Pang, KY. Suh, "Theoretical analysis of flexible strain-gauge sensor with nanofibrillar mechanical interlocking", Curr. Appl. Phys. 15(3), 274 (2015)

  •  

2014

  • Y. Ma, D. Kim, H. Jang, S. M. Cho and H. Chae, "Characterization of Low Temperature Graphene Synthesis in Inductively Coupled Plasma Chemical Vapor Deposition Process with Optical Emission Spectroscopy", J. Nanosci. Nanotechnol. 14, 9065 (2014) 

  • M. D. Ho, N. Kim, D. Kim, S. M. Cho and H. Chae, "CdSe/ZnS Quantum Dot Thin Film Formation by an Electrospray Deposition Process for Light-Emitting Devices", Small 10, 4142 (2014)

  • N. Kim, J. Lee, H. An, C. Pang, S. M. Cho and H. Chae, "Color temperature control of quantum dot white light emitting diodes by grafting organic fluorescent molecules", J. Mater. Chem. C 2, 9800 (2014)

  • S.-W. Seo, K.-H. Hwang, E. Jung, S. J. Seo and H. Chae, Sung Min Cho, "Enhanced moisture-barrier property of a hybrid nanolaminate composed of aluminum oxide and plasma polymer", Mater. Lett. 134, 142 (2014)

  • J. Kim, J.-H. Choi, H. Chae and H. Kim, "Effect of indium doping on low-voltage ZnO nanocrystal field-effect transistors with ion-gel gate dielectric", Jpn. J. Appl. Phys. 53, 071101 (2014) 

  • K.-H. Hwang, S.-W. Seo, E. Jung, H. Chae and S. M. Cho, "Plasma-polymerized n-hexane and its utilization as multilayer moisture-barrier film with aluminum oxide", Korean J. Chem. Eng. 31 [3], 528 (2014)

  • S.J. Seo, H.-K. Chung, J.-B. Yoo, H. Chae, S.-W. Seo and S. M. Cho, "Co-Pt core-shell nanostructured catalyst prepared by selective chemical vapor pulse deposition of Pt on Co as a cathode in polymer electrolyte fuel cells", J. Vac. Sci. Technol. A 32, 01A129 (2014)

  • S.W. Seo, E. Jung, H. Chae, S. J. Seo, H. K. Chung and S. M. Cho, "Bending properties of organic–inorganic multilayer moisture barriers", Thin Solid Films 550, 742 (2014) 

  • SW. Seo, KH. Hwang, E. Jung, SJ. Seo, H. Chae, SM. Cho, "Enhanced moisture-barrier property of a hybrid nanolaminate composed of aluminum oxide and plasma polymer", Mater. Lett. 134, 142 (2014)

2013

  • M. D. Ho, D. Kim, N. Kim, S. M. Cho and H. Chae, "Polymer and Small Molecule Mixture for Organic Hole Transport Layers in Quantum Dot Light-Emitting Diodes", ACS Appl. Mater. Interfaces 5[23], 12369 (2013)

  • Y. Meng, G. Xin, J. Nam, S. M. Cho and H. Chae, "Electrospray Deposition of Carbon Nanotube Thin Films for Flexible Transparent Electrodes", J. Nanosci. Nanotechnol. 13, 6125 (2013) 

  • H. Jang, J. Nam, C.-K. Kim and H. Chae, "Real-Time Endpoint Detection of Small Exposed Area SiO2 Films in Plasma Etching Using Plasma Impedance Monitoring with Modified Principal Component Analysis", Plasma Process Polym. 10, 850 (2013)

  • S.-W. Seo, E. Jung, S. J. Seo, H. Chae, H. K. Chung and S. M. Cho, "Toward fully flexible multilayer moisture-barriers for organic light-emitting diodes", J. Appl. Phys. 114, 143505 (2013)

  • S.-W. Seo, H. K. Chung, H. Chae, S. J. Seo and S. M. Cho, " Flexible organic/inorganic moisture barrier using plasma-polymerized layer", Nano 3[4], 1350041 (2013)

  • W. Kim, N. Kim, J. K. Kim, I. Park, Y. S. Choi, D. H. Wang, H. Chae and J. H. Park, "Polymer Bulk Heterojunction Solar Cells with PEDOT:PSS Bilayer Structure as Hole Extraction Layer", Chem. Sus. Chem. 6, 1070 (2013) 

  • S.-W. Seo, H. Chae, S. J. Seo, H. K. Chung and S. M. Cho, "Extremely bendable thin-film encapsulation of organic light-emitting diodes", Appl. Phys. Lett. 102, 161908 (2013)

  • H. Kim, MH. Ha, D. Jung, H. Chae, H. Kim, "Effects of He (90%)/H-2 (10%) plasma treatment on electric properties of low dielectric constant SiCOH films", Mater. Res. Bull. 47(10), 3008 (2013)

  • H. Lee, SW. Seo, E. Jung, H. Chae, SM. Cho, "Flexible Organic Light-Emitting Diodes on a Poly(3,4-ethylenedioxythiophene)/Metal-Grid Hybrid Electrode", Appl. Phys. Expresss 6(4), 46503 (2013)

  • GQ. Xin, SY. Gong, N. Kim, J. Kim, W. Hwang, J. Nam, YH. Cho, SM. Cho, H. Chae, "Graphene oxide/N-methyl-2-pyrrolidone charge-transfer complexes for molecular detection", Sens. Actuators B Chem. 176, 81 (2013)

2012

  • G. Xin, Y. Meng, Y. Ma, D. Ho, N. Kim, S. M. Cho and H. Chae, "Tunable photoluminescence of graphene oxide from near-ultraviolet to blue", Mater. Lett. 74, 71 (2012)

  • J. Lee, A. Kim, S. M. Cho and H. Chae, "Solvent effects on gravure-printed organic layers of nanoscale thickness for organic solar cells", Korean J. Chem. Eng. 29[3], 337 (2012)

  • W. Hwang, G. Xin, M. Cho, S. M. Cho and H. Chae, "Electrospray deposition of polymer thin films for organic light-emitting diodes", Nanoscale Res. Lett. 7, 52 (2012)

  • G. Xin, H. Wang, N. Kim, W. Hwang, S. M. Cho and H. Chae, "Investigation of charge-transfer complexes formation between photoluminescent graphene oxide and organic molecules", Nanoscale 4, 405 (2012) 

  • J. Li, S. M. Cho and H. Chae, "Electrospray Deposition of Silver Nanowire Films for Transparent Electrodes ", J. Nanosci. Nanotechnol. 12, 5981 (2012)

  • D. Kim, H. Kim, H. Jang, D. Jung and H. Chae, "Characterization of Low-k Dielectric SiCOH Films Deposited with Decamethylcyclopentasiloxane and Cyclohexane", J. Nanosci. Nanotechnol. 12, 6040 (2012)

  • SW. Cho, CK. Kim, JK. Lee, SH. Moon, H. Chae, "Angular dependences of SiO2 etch rates in C4F6/O-2/Ar and C4F6/CH2F2/O-2/Ar plasmas", J. Vac. Sci. & Technol A 30(5), 51301 (2012)

  • HM. Lee, H. Chae, CK. Kim, "Electroless deposition of NiMoP films using alkali-free chemicals for capping layers of copper interconnections", Kor. J. Chem. Eng. 29(9), 1259 (2012)

  • SW. Seo, SH. Won, H. Chae, SM. Cho, "Low-temperature growth of highly conductive and transparent aluminum-doped ZnO film by ultrasonic-mist deposition", Kor. J. Chem. Eng. 29(4), 525 (2012)

  • K. Park, D. Yeo, S. Oh, H. Kim, MH. Ha, H. Oh, M. Park, J. Park, D. Park, D. Jung, H. Chae, H. Kim, JH. Boo, "Organic light emitting diodes with metallocene compounds as cathode interfacial layers", Adv. Mater. PTS 1-3(415), 1360 (2012)

  • E. Nam, S. Oh, D. Jung, H. Kim, H. Chae, J. Yi, "Organic photovoltaic devices with the bilayer cathode interfacial structure of pyromellitic dianhydride and lithium fluoride", Semicond. Sci. Technol. 27(10), 105004 (2012)

  • S. Oh, D. Yeo, K. Park, H. Kim, MH. Ha, H. Oh, M. Park, J. Park, D. Park, D. Jung, H. Chae, H. Kim. JH. Boo, E. Nam, "Performance enhancement of organic solar cells with the LiF/Al cathode structure by the pyromellitic dianhydride layer", ICAMMP 2011, 415 (2012)

  • SW. Seo, E. Jung, C. Lim, H. Chae, SM. Cho, "Water permeation through organic-inorganic multilayer thin films", Thin Solid Films 520(21), 6690 (2012)

  • SW. Seo, E. Jung, H. Chae, SM. Cho, "Optimization of Al2O3/ZrO2 nanolaminate structure for thin-film encapsulation of OLEDs", Org. Electron. 13(11), 2436 (2012)

  • SW. Seo, E. Jung, C. Lim, H. Chae, SM. Cho, "Moisture Permeation through Ultrathin TiO2 Films Grown by Atomic Layer Deposition", Appl. Phys. Express 5(3), 35701 (2012)

  • K. Park, S. Oh, D. Jung, H. Chae, H. Kim, JH. Boo, "Hafnium metallocene compounds used as cathode interfacial layers for enhanced electron transfer in organic solar cells", Nanoscale Res. Lett. 7, 1 (2012)

2011

  • S. G. Kandalkar, H.-M. Lee, H. Chae, C.-K. Kim, “Structural, morphological, and electrical characteristics of the electro-deposited cobalt oxide electrode for supercapacitor applications”,  Mater. Res. Bull. 46, 48 (2011)

  • A. Kim, H. Lee, J. Lee, S. M. Cho and H. Chae, "Bi-Layer Gravure Printed Nanoscale Thick Organic Layers for Organic Light Emitting Diode",  J. Nanosci. Nanotechnol.11, 546 (2011)

  • J. Kim, N. H. Kim, H. Kim, D. Jung and H. Chae, "Simultaneous Plasma and Thermal Treatments of ITO Surfaces for Organic Solar Cells", J. Nanosci. Nanotechnol. 11, 6490 (2011) 

  • J. Li, H. Chae, "Electrospray Deposition Process for Transparent Flexible Silver Nanowire Electrodes", IDW '11, 2035, (2011)

  • DH. Lee, YP. Liu, E. Jung, SW. Seo, H. Chae, SM. Cho, "Polymer Organic Light-Emitting Devices with Cathodes Transferred under Ambient Conditions", Jpn. J. Appl. Phys. 50(11), 110206 (2011)

  • JS. Park, H. Chae, HK. Chung, SI. Lee, "Thin film encapsulation for flexible AM-OLED: a review", Semicond. Sci. Technol. 26(3), 34001 (2011)

     

2010

  • H. Lee, A. Kim, S. M. Cho and H. Chae, "Characterization of thermal annealing of gravure printed PVK/Ir(ppy)3 organic light emitting layers", Curr. Appl. Phys. 10, 143 (2010)

  • E. Nam, M. R. Moon, D. Jung, S. Lee, H. Chae, J. H. Cho, J. Yi, S. H. Park, M.-H. Cho and H. Kim, "Performance Improvement of the Organic Light-Emitting Diodes by Using a LiF/Pyromellitic Dianhydride Stacked Cathode Interfacial Layer", J. Electrochem. Soc. 157(12), J425 (2010)

  • G. Xin, W. Hwang, N. Kim, S. M. Cho and H. Chae, "A graphene sheet exfoliated with microwave irradiation and interlinked by carbon nanotubes for high-performance transparent flexible electrodes", Nanotechnol. 21, 405201 (2010) 

  • B. Zhang, D.-H. Lee, H. Chae, C. Park and S. M. Cho, "Optimization of inverted bulk heterojunction polymer solar cells", Korean J. Chem. Eng. 27(3), 999 (2010)

  • E. Nam, M. R. Moon, J. Kim, D. Jung, H. Kim, H. Chae and J. Yi , "Effects of the pyromellitic dianhydride cathode interfacial layer on characteristics of organic solar cells based on poly(3-hexylthiophene-2,5-diyl) and [6,6]-phenyl C-61 butyric acid methyl ester", J. Mater. Res. 25(5), 866 (2010)

  • S.W. Seo, D.-H. Lee, Y. S. Kim, H. Chae and S. M. Cho, "Unique surface textures of ZnO films deposited by chemical bath deposition", Phys. Status Solid A 207(3), 724 (2010)

  • A. Kim, H. Lee, C. Ryu, S. M. Cho and H. Chae, "Nanoscale Thickness and Roughness Control of Gravure Printed MEH-PPV Layer by Solvent Printing for Organic Light Emitting Diode", J. Nanosci. Nanotechnol. 10, 3326 (2010)

  • X. Zhu, D.-H. Lee, H. Chae, and S. M. Cho, "Enhanced efficiency of white polymer light-emitting diodes with inorganic nanodots", Korean J. Chem. Eng. 27(2), 683 (2010)

  • D.-H. Lee, Y.-P. Liu, K.-H. Lee, H. Chae and S. M. Cho, "Effect of hole transporting materials in phosphorescent white polymer light-emitting diodes", Org. Electron.11, 427 (2010)

  • GQ. Xin, W. Hwang, N. Kim, H. Chae, "Graphene Sheet Synthesized with Microwave Irradiation and Interlinked by Carbon Nanotubes for High-Performance Transparent Flexible Electrodes", IDW '10, 1671 (2010)

  • W. Hang, N. Kim, H. Chae, "Organic Light-Emitting Diodes Fabrication by Electrospray Deposition Process", IDW '10, 851 (2010)

     

2009

  • H. Lee, A. Kim, S. M. Cho, and H. Chae, J. "Nanoscale Thickness and Roughness Control of Gravure Printed Organic Light Emitting Layer with Poly(N-vinyl carbazole) and Ir(ppy)3", J. Nanosci. Nanotechnol. 9, 7278 (2009)

  • D.-H. Lee, J. H. Park. H. Chae, S. M. Cho, "Inverted Bottom-Emission Polymer Light-Emitting Devices Doped with Organic Salt", Jpn. J. Appl. Phys. 48, 110203 (2009)

  • S. Lee, J. Woo, E. Nam, D. Jung, J. Yang, H. Chae, H. Kim, "Effects of Deposition Plasma Power on Properties of Low Dielectric-Constant Plasma Polymer Films Deposited Using Hexamethyldisiloxane and 3,3-Dimethyl-1-butene Precursors", Jpn. J. Appl. Phys. 48, 106001 (2009)

  • D.-H. Lee, H. Chae, S. M. Cho, "Effect of Organic Salt Doping on Electron Injection in Single-Layer Polymer Light-Emitting Diodes", Jpn. J. Appl. Phys. 48, 060204 (2009)

  • B. Zhang, H. Chae, S. M. Cho, "Screen-Printed Polymer:Fullerene Bulk-Heterojunction Solar Cells", Jpn. J. Appl. Phys. 48, 020208 (2009)

  • S. Lee, J. Woo, D. Jung, J. Yang, J. Boo, H. Kim and H. Chae, "Effect of etching on dielectric constant and surface composition of SiCOH low-k films in inductively coupled fluorocarbon plasmas", Thin Solid Films 517(14) 3942 (2009)

  • E. Nam, H. Park, K. Park, M. R. Moon, S. Sohn, D. Jung, J. Yi, H. Chae, H. Kim, "Electroluminescence and impedance analyses of organic light emitting diodes using anhydride materials as cathode interfacial layers", Thin Solid Films 517(14), 4131 (2009)

  • C. Kim, D. Jeong, J. Hwang, H. Chae, and C.-K. Kim, "Argon and Nitrogen Plasma Surface Treatments of Polyimide Films for Electroless Copper Plating", J. Korean Phys. Soc. 54(2), 621, (2009)

  • C. Pang, D. Jeong, H. Chae, S. Lee, C. H. Cho, "Kinetic Modeling of Temperature Dependence of TiCl4 and NH3 Surface Reaction in Trap Systems for CVD Reactors", Ind. Eng. Chem. Res. 48, 1353 (2009)

  • D.-H. Lee, J. S. Choi, H. Chae, C.-H. Chung, and S. M. Cho, "Screen-printed white OLED based on polystyrene as a host polymer", Curr. Appl. Phys. 9, 161, (2009)

  • H. Rhee, HM, Lee, YM. Nam, CK. Kim, H. Chae, YW. Kim, "Dependence of etch rates of silicon substrates on the use of C4F8 and C4F6 plasmas in the deposition step of the Bosch process", J. Vac. Sci. & Technol. B 27(1), 33 (2009)

  • DH. Lee, JH. Park, H. Chae, SM. Cho, "Enhanced carrier balance by organic salt doping in single-layer polymer light-emitting devices", Org. Electron. 10(7), 1345 (2009)

  • D. Jeong, J. Hwang, H. Chae, S. Lee, CH. Cho, "Temperature Dependence of TiCl4 and NH3 Surface Reactions in Chemical Vapor Deposition Trap Systems", J. Korean Phys. Soc. 54(3), 1087 (2009)

2008

  • T. H. Kim, S. M. S. I. Dulal, C. H. Park. H. Chae, C.-K. Kim, "Optimisation of process parameters for electroless plating of Co W P capping layers from an alkali-metal-free bath", Surf. Coat. Technol. 202 (19), 4861 (2008)

  • C. Pang, J. Hwang, K. Park. D. Jung, H. Kim, H. Chae, "Efficiency Enhancement of Polymer Solar Cells by Patterning Nanoscale Indium Tin Oxide Layer", J. Nanosci. Nanotechnol. 8, 5279, (2008)

  • H. Park, H. Kim, J. Lee, K. Lee, J. Yi, S. Oh, S. Sohn, D. Jung, S. Jang, H. Chae, "Admittance spectroscopic analysis of organic light emitting diodes with the CFX plasma treatment on the surface of indium tin oxide anodes", Thin Solid Films 516, 1370 (2008)

  • K. Han, K. J. Park, H. Chae, E. S. Yun, "Multi-Way Principal Component Analysis for the Endpoint Detection of the Metal Etch Process Using the Whole Optical Emission Spectra", Korean J. Chem. Eng. 25(1), 13 (2008)

  • S.S. Yoon, S.C. Park. Y.K.Lee, H. Chae, S.B. Jung, J.-D. Nam, "Direct Metalization of Gold Patterns on Polyimide Substrate by Microcontact Printing and Selective Surface Modification", Microelectron. Eng. 85, 136 (2008)

  • J.H. Lee, S.S. Yang, B.W.Kim, S.J.Sim. H. Chae, H.C.Yoon, "Electrochemical immunosensor signaling by employing enzyme-tagged antibody for the determination of antigen or antibody under single competition reaction format", Colloid Surf. A 313, 509 (2008)

  • J. Choi, D.-H. Lee, H. Chae, C. Park, S. M. Cho, "Color mixing between phosphoresence and fluoresecence by impolete Forster energy transfer", Korean J. Chem. Eng. 25(1), 99 (2008)

  • D.H. Lee, J. Choi, H. Chae, C-H. Chung, S. M. Cho, "Single-layer organic-light-emitting devices fabricated by screen printing method", Korean J. Chem. Eng. 25(1), 176 (2008)

  • H. Park, H. Kim, J. Lee, K. Lee, J. Yi, S. Oh, S. Sohn, D. Jung, S. Jang, H. Chae, "Admittance spectroscopic analysis of organic light emitting diodes with the CF x plasma treatment on the surface of iridium tin oxide anodes", Thin Solid Films 516, 1370 (2008)

  • S. Lee, D. Jung, J. Yang, JH. Boo, H. Kim, J. Lee, H. Chae, "Characterization of oxygen and nitrogen rapid thermal annealing processes for ultra-low-k SiCOH films", J. Mater. Res. 23(3), 856 (2008)

  • K. Park, G. Kim, S. Sohn, D. Jung, S. Jang, H. Chae, H. Kim, J. Yi, MH. Kim, Yu. Kwon, "Efficiency enhancement and equivalent circuit analysis of polymer solar cells with a CF4-plasma-treated ITO anode surface", J. Korean Phys. Soc. 53(3), 1379 (2008)

  • SW. Park, JH. Lee, HC. Yoon, BW. Kim, SJ. Sim, H. Chae, SS. Yang, "Fabrication and testing of a PDMS multi-stacked hand-operated LOC for use in portable immunosensing systems", Biomed. Microdevices 10(6), 859 (2008)

  • K. Han, ES. Yoon, J. Lee, H. Chae, KH. Han, KJ. Park, "Real-time end-point detection using modified principal component analysis for small open area SiO(2) plasma etching", Ind. Eng. Chem. Res. 47(11), 3907 (2008)

  • TH. Kim, SMSI. Dulal, CH. Park, H. Chae, CK. Kim, "Optimisation of process parameters for electroless plating of Co-W-P capping layers from an alkali-metal-free bath", Surf. Coat. Technol. 202(19), 4861 (2008)

2007

  • S. Jang, H. Chae, D. Jung, H. Kim, C.-K. Kim, "Simultaneous Oxygen Plasma and Thermal Treatments of an ITO Surface to Improve the Electrical Characteristics of Organic Light-Emitting Diodes", J. Korean Phys. Soc. 51(3), 956 (2007)

  • H. Chae, H. H. Sawin, "Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber", J. Korean Phys. Soc. 51(3), 978 (2007)

  • C. Kim, R. Ryu, B. W. Kim, S. J. Sim, H. Chae, H. C. Yun, S. S. Yang, "Microfluidic Dialysis Device Fabrication for Protein Solution Enrichment and Its Enrichment Enhancement by Plasma Surface Treatment of a Membrane", J. Korean. Phys. Soc. 51(3), 993 (2007)

  • C. Ryu, C. Kim, H. Chae, J. D. Nam, "Protein Patterning on a Glass Substrate with a Capillary Force Lithography Process Enhanced by Surface Treatment Processes", J. Korean Phys. Soc. 51(3), 1160 (2007)

  • S. Sohn, D. Jung, H. Kim, H. Chae, H. M. Kim, J. Yi, M.-H. Cho, J. Boo, "Characteristics of Organic Light Emitting Diodes with Tetrakis (Ethylmethylamino) Hafnium Treated Indium Tin Oxide",  Jpn. J. Appl. Phys. 46(19), L461 (2007)

  • J. Lee, C. Ryu, S. Lee, D. Jung, H. Kim, H. Chae, "Carbon Nanotube Patterning with Capillary Micromolding of Catalyst", J. Nanosci. Technol. 7(11), 4169 (2007)

  • S. Lee, J. Yang, S. Yeo, J. Lee, D. Jung, JH. Boo, H. Kim, H. Chae, "Effect of annealing temperature on dielectric constant and bonding structure of low-k SiCOH thin films deposited by plasma enhanced chemical vapor deposition", Jpn. J. Appl. Phys. 46, 536 (2007)

  • K. Han, KJ. Park, H. Chae, ES. Yoon, "Modified PCA algorithm for the end point monitoring of the small open area plasma etching process using the whole optical emission spectra", 2007 Int. Conf. Control Autom. Sys. 1, 2533 (2007)F

2006

  • J. Yang, S. Lee, H. Park, D. Jung, H. Chae, "Characterization of low dielectric constant plasma polymer films deposited by plasma-enhanced chemical vapor deposition using decamethyl-cyclopentasiloxiane and cyclohexane as the precursors", J. Vac. Sci. Technol.  A24(1), 165 (2006)

  • C. Cao, J. P. Kim, B. W. Kim, H. Chae, H. C. Yoon, S. S. Yang, S. J. Sim, "A Strategy for sensitivity and specificity enhancements in prostate specific antigen-α1-antichymootrypsin detection based on surface plasmon ressonance, Biosens", Bioelectron. 21, 2106 (2006)

  • J.-S. Park, S.-H. Lim, S. J. Sim, H. Chae, H. C. Yoon. S. S. Yang, B.-W. Kim, "Enhancement of Sensitivity in Interferometric Biosensing by Using a New Biolinker and Prebinding Antibody", J. Microbio.  Biotechnol. 16(12), 1968 (2006)

  • S. Sohn, K. Park, D. Lee, D. Jung, H. M. Kim, U. Manna, J. Yi, J-H Boo, H. Chae, H. Kim, "Characteristics of polymer light emitting diodes with the LiF Anode Interfacial Layer", Jap. J. Appl. Phys. 45(4B), 3733 (2006)

  • KH. Han, JW. Lee, H. Chae, "Automatic end point detection of plasma etching process using the multi-way PCA of the whole optical emission spectrum", SICE-ICASE International Joint Conference 1, 6047 (2006)

2005

  • S. Kho, S. Sohn, D. Jung, H. Chae, J. Boo, and B. Kim, "Characteristic of Top-Emission Inverted Organic Light-Emitting Diodes with Plasma-Polymeriszed Para-Xylene Cathode Interfacial Layer", J. Korean Phys. Soc. 46(5), 1224 (2005)