Search
Close
Search
 
Research

Publications

Research

Publications

Journal Papers

 

2023

8. Jin-Hwan Hong, et al. "Facile diffusion of sulfur and fluorine into a-IGTO thin films for high-performance and reliability of transparent amorphous oxide semiconductor thin-film transistors." Materials Science in Semiconductor Processing 169 (2023): 107872

 

7. Lee, Seungmin, et al. "High-Density 3-D NAND Cell Array Design With Hybrid Bonding." IEEE Transactions on Electron Devices vol. 70, no. 11 (2023)

 

6. Lee, Chankyu, et al. "Low-Temperature Processed Complementary Inverter With Tin-Based Transparent Oxide Semiconductors." IEEE Transactions on Electron Devices vol. 70, no. 10 (2023)

 

5. Kim, Youngrok, et al. "Performance and reliability enhancement of flexible low-temperature polycrystalline silicon thin-film transistors via activation-annealing temperature optimization." Thin Solid Films 780 (2023): 139925

 

4. Kim, Hyojung, et al. "Electrical Performance and Reliability Enhancement of a-IGZO TFTs via Post-N2O Plasma Optimization." IEEE Transactions on Electron Devices vol. 70, no. 7 (2023)

 

3. Han, Dongmin, et al. "Effects of Polycrystalline Silicon Plug Defect on DRAM Characteristics." IEEE Transactions on Electron Devices vol. 70, no. 7 (2023)

 

2. Cho, Sunghwan, and Byoungdeog Choi. "String-level compact modeling for dynamic operation and transient analysis of 3D charge trapping flash memory." Solid-State Electronics 204 (2023): 108655

 

1. Yoo, Jongmin, et al. "High-pressure H2O post-annealing for improving reliability of LTPS and a-IGZO thin-film transistors whithin a coplanar structure." Materials Science in Semiconductor Processing 157 (2023): 107299

 

2022

8. Han, Chanhee, et al. "Electrical Reliability of Flexible Low-Temperature Polycrystalline Oxide Thin-Film Transistors Under Mechanical Stress." IEEE Transactions on Electron Devices (2022).

 

7. Lee, Seungmin, and Byoungeog Choi. "Highly Stackable 3D Capacitor-Less DRAM for a High-Performance Hybrid Memory." IEEE Electron Device Letters 43.12 (2022)" 2089-2092

 

6. Jung, Juyoung, et al. "Air shield system to reduce lens contamination in optical lithography based on turbulence simulation." Journal of Micro/Nanopatterning, Materials, and Metrology 21.4 (2022):043801

 

5. Juyoung Jung, Jin-Hwan Hong, Jeewoong Suk, Hyunsoon Park, and Byoungdeog Choi. "Maximizing the Productivity of Photolithography Equipment by Machine Learning Based on Time Analytics." Applied Sciences 12.16 (2022): 8003.

 

4. KabJin Nam, Jungmin Park, Keewon Kwon, and Byoungdeog Choi. "Charge Pumping Technique to Measure Polarization Switching Charges of FeFETs." IEEE Transactions on Electron Devices (2022).

 

3. Junhwa Song, Eunsun Lee, Seungho Hong, Jihun Kim, Jeonghoon Oh and Byoungdeog Choi. "The Performance Enhancement of PMOSFETs and Inverter Chains at Low Temperature and Low Voltage by Removing Plasma-Damaged Layers." Electronics 11.13 (2022): 1929.

 

2. Lee, In-Kyum, and Byoung-Deog Choi. "A Study on the Role of Pre-Cleaning and a New Method to Strengthen Gate Oxide Quality." Nanomaterials 12.9 (2022): 1563.

 

1. Hyojung Kim, Jongwoo Park, Taeyoung Khim, Jungmin Park, Chanhee Han, Jongmin Yoo, Dongbhin Kim, Jangkun Song, and Byoungdeog Choi. "Highly Reliable Flexible Device with a Charge Compensation Layer." ACS Applied Materials & Interfaces 14.10 (2022): 12863-12872.

 

2021

12. Chanyoung Park, and Byoungdeog Choi. "Enhanced Hole Injection Characteristics of a Top Emission Organic Light-Emitting Diode with Pure Aluminum Anode." Nanomaterials 11.11 (2021): 2869.

 

11. H.J. Kim, J.W. Park, S.R. Bak, J.M. Park, C.W. Byun, C.Y. Oh, B.S. Kim, C.H. Han, J.M. Yoo, D.B. Kim, J.K. Song, P.H. Choi and B.D. Choi, "Effects of polyimide curing on image sticking behaviors of flexible displays," Scientific Reports, 11, 21805 (2021)

 

10. H.J. Kim, J.M. Park, C.W. Byun, S.R. Bak, Y.J. Jung, C.H. Han, J.M. Yoo, S.K. Kim, P.H. Choi, J.H. Lee, J.K. Song, and B.D. Choi, “Improved charging phenomenon with a modified barrier structure for flexible displays fabricated on polyimide substrates,” Electronics Letters, 57, 744 (2021)

 

9. Sangmin Lee, Pyungho Choi, Minjun Song , Gaeun Lee, Nara Lee, Bohyeon Jeon, Byoungdeog Choi, “Negative Bias Instability of InZnO-Based Thin-Film Transistors Under Illumination Stress,” Journal of Nanoscience and Nanotechnology, 21, 4277 (2021)

 

8. Hyojung Kim, Jongwoo Park, Taeyoung Khim, Sora Bak, Jangkun Song, and Byoungdeog Choi, “Threshold voltage instability and polyimide charging effects of LTPS TFTs for flexible displays,” Scientific Reports, 11, 8387 (2021)

 

7. Jungmin Park, Hyojung Kim, Pyungho Choi, Bohyeon Jeon, Jongyoon Lee, Changyong Oh, Bosung Kim, Byoungdeog Choi, “Effect of ALD‑ and PEALD‑ Grown Al2OGate Insulators on Electrical and Stability Properties for a‑IGZO Thin‑Film Transistor,” Electronic Materials Letters, 17, 299 (2021)

 

6. Hyojung Kim, Soonkon Kim, Jongmin Yoo, Changyong Oh, Bosung Kim, Hyuncheol Hwang, Jungmin Park, Pyungho Choi, Jangkun Song, Kiju Im, and Byoungdeog Choi, “Conduction band offset-dependent induced threshold voltage shifts in a-InGaZnO TFTs under positive bias illumination stress,” AIP Advances, 11, 035312 (2021)

 

5. Hyojung Kim, Jongwoo Park, Junehwan Kim, Nara Lee, Gaeun Lee, Soonkon Kim, Pyungho Choi, Dohyun Beak, Jangkun Song, and Byoungdeog Choi, “Leakage Current Analysis Method for Metal Insulator Semiconductor Capacitors Through Low-Frequency Noise Measurement,” Journal of Nanoscience and Nanotechnology, 21, 1966 (2021)

 

4. Jungmin Park, Pyungho Choi, Soonkon Kim, Bohyeon Jeon, Jongyoon Lee, and Byoungdeog Choi, “Effect of PECVD Gate SiO2 Thickness on the Poly‑Si/SiO2 Interface in Low‑Temperature Polycrystalline Silicon TFTs,” Journal of Electrical Engineering & Technology (2021)

 

3. Hyojung Kim,  Jongwoo Park, Jungmin Park, Hyuntaek Woo, Jongyoon Lee, Yoonsoo Park, Taeyoung Khim, Junehwan Kim, Jaeseob Lee, Jangkun Song, Byoungdeog Choi, “Charging Compensation Layer on Polyimide for Enhanced Device Stability in Flexible Technology,” Electronic Materials Letters, 17, 215 (2021)

 

2. Kihwan Kim, Minjoon Song, Soonkon Kim, Hyojung Kim, Sangho Jeon, Youngmi Cho, Yongjo Kim, and Byoungdeog Choi, “Degradation modeling with spatial mapping method in low temperature poly silicon thin film transistor aged off-state bias,” Microelectronics Reliability, 116, 114012 (2021)

 

1. Soonkon Kim, Hyojung Kim, Kihwan Kim, Pyungho Choi, and Byoungdeog Choi, “Improvement of negative bias temperature instability of LTPS TFTs by high pressure H2O annealing,” Microelectronics Reliability, 116,  113963 (2021)

 

2020

14. Kihwan Kim, Myungeon Kim, Hyunguk Cho, Youngmi Cho, Yongjo Kim, and Byoungdeog Choi, “Technology Computer-Aided Design-Based Simulation Program with Integrated Circuit Emphasis Model for Back-Channel-Etched Thin-Film Transistors with Floating Metal Components,” Journal of Nanoscience and Nanotechnology, 20, 7181 (2020)

 

13. Areum Park, Pyungho Choi, Woojin Jeon, Donghyeon Lee, Donghee Choi, and Byoungdeog Choi, “Defect Analysis and Reliability Characteristics of (HfZrO4)1-x(SiO2)x High-k Dielectrics,” Journal of Nanoscience and Nanotechnology, 20, 6718 (2020)

 

12. Donghyeon Lee, Pyungho Choi, Areum Park, Woojin Jeon, Donghee Choi, Sangmin Lee, and Byoungdeog Choi, “Hafnium Incorporation in InZnO Thin Film Transistors as a Carrier Suppressor,” Journal of Nanoscience and Nanotechnology, 20, 6675 (2020)

 

11. Woojin Jeon, Pyungho Choi, Areum Park, Donghyeon Lee, Donghee Choi, Sangmin Lee, and Byoungdeog Choi, “Solution-Based Indium–Zinc Oxide/Indium–Gallium–Zinc Oxide Double-Channel Thin-Film Transistors with Incorporated Hydrogen Peroxide,” Journal of Nanoscience and Nanotechnology, 20, 6643 (2020)

 

10. Pyungho Choi, Youngseung Cho, and Byoungdeog Choi, “Hydrogen Permeation and Its Impacts on the Electrical Performance of Stacked ZrO2/Al2O3/ZrO2 Films,” Journal of Nanoscience and Nanotechnology, 20, 6638 (2020)

 

9. Junhwa Song, Jinhyuk Yoo, Youngseung Cho, Jihun Kim, Jeonghoon Oh, Ilgweon Kim, Hyoungsub Kim, and Byoungdeog Choi, “Effects of Plasma Damage Removal on Direct Contact Resistance and Hot-Electron-Induced Punch Through (HEIP) of PMOSFETs,” Journal of Nanoscience and Nanotechnology, 20, 6622 (2020)

 

8. Hyo-Jung Kim, Bo-Hyeon Jeon, Jong-Woo Park, June-Hwan Kim, Soon-Kon Kim, Min-Jun Song, Pyung-Ho Choi, Jung-Min Park, Ki-Hwan Kim, Jang-Kun Song, and Byoungdeog Choi, “Channel Defect Analysis Method of a-IGZO TFTs on Polyimide for Flexible Displays,” Journal of Semiconductor Technology  and Science, 20, 474 (2020)

 

7. Soonkon Kim, Hyojung Kim, Kihwan Kim, Pyungho Choi, and Byoungdeog Choi, “Bias Stress Instability of LTPS TFTs on Flexible Substrate with Activation Annealing Temperature,” Microelectronics Reliability, 113, 113940 (2020)

 

6. Jungmin Park, Pyungho Choi, Soonkon Kim, Heesung Kang, Jahum Ku, Byoungdeog Choi, "Gate leakage current reduction and improved reliability with an ultra-thin Ti layer for low-power applications," Thin Solid Films, 708, 138102 (2020)

 

5. Pyungho Choi, Sangmin Lee, Hyojung Kim, Jungmin Park, Byoungdeog Choi, "Evaluation of Minority Carrier Generation Lifetime for Oxide Semiconductors," Thin Solid Films, 704, 138023 (2020)

 

4. Hyojung Kim , Kiju Im, Jongwoo Park, Taeyoung Khim, Hyuncheol Hwang, Soonkon Kim, Sangmin Lee, Minjun Song, Pyungho Choi , Jangkun Song , and Byoungdeog Choi, "The Effects of Valence Band Offset on Threshold Voltage Shift in a-InGaZnO TFTs Under Negative Bias Illumination Stress," IEEE Electron Device Letters, 41, 737 (2020)

 

3. D.I. Bae and B.D. Choi, "Short channels and mobility control of GAA multi stacked nanosheets through the perfect removal of SiGe and post treatment," Electronics Letters, 56, 400 (2020)

 

2. K. H. Kim, J. Y. Lee, Y. G. Yoon, S. K. Kim, H. U. Cho, Y. M. Cho, Y. J. Kim, and B. D. Choi, "A New Method for Defect Prediction of Polycrystalline Silicon TFTs with Realistic Grain Boundary Model," Journal of Semiconductor Technology and Science, 20, 93 (2020)

 

1. Jong-Min Lee, Pyung-Ho Choi, Jong-Beom Seo, and Byoung-Deog Choi, "Effect of Heat Budget After Capacitor Formation on the Leakage Current Characteristics of ZrO2-Based High-k Dielectrics for Next-Generation Dynamic Random-Access Memory Capacitors,"  Journal of Nanoscience and Nanotechnology, 20, 367 (2020)

 

2019

5. Y.S. Cho, P.H. Choi, K.H. Kim, J.M. Park, Y.S. Hwang, H.S. Hong, K.P. Lee and B.D. Choi, "Stretched tunnelling body contact structure for suppressing the FBE in a vertical cell DRAM,"  Electronics Letters, 55, 1252 (2019)

 

4. D.I. Bae and D.B. Choi, "Demonstration of a high-performance SiGe alloy channel considering Ge fraction, Dit and BTB leakage," Electronics Letters, 55, 1106 (2019)

 

3. Jinhyuk Yoo , Soonkon Kim, Woojin Jeon, Areum Park, Donghee Choi, and Byoungdeog Choi, "A Study on the Charge Trapping Characteristics of High-k Laminated Traps,"  IEEE Electron Device Letters, 40, 1427 (2019)

 

2.  Chan Young Park and Byoungdeog Choi, "Enhanced Light Extraction from Bottom Emission OLEDs by High Refractive Index Nanoparticle Scattering Layer," Nanomaterials, 9, 1241 (2019)

 

1. Kihwan Kim, Sangho Jeon, Hyunjun Lee, Miseon Seo, Hyunguk Cho, and Byoungdeog Choi, "Degradation Model of a-IGZO TFT due to High Drain Bias Stress," ECS Journal of Solid State Science and Technology, 8, Q3242 (2019)

 

2018

14. Youngseung Cho , Pyeongho Choi , Younghwan Hyeon, Junhwa Song, Yoosang Hwang, and Byoungdeog Choi, "Novel Band-to-Band Tunneling Body Contact (BTBC) Structure to Suppress the Floating-Body Effect in a Vertical-Cell DRAM," IEEE Electron Device Letters, 39, 1860 (2018)

 

13. Jong-Min Lee , Pyung-Ho Choi , Soon-Kon Kim, Jung-Hwan Oh, Soo-Ho Shin, Jun-Yong Noh, Hyoung-Sub Kim, and Byoung-Deog Choi, "New Method for Reduction of the Capacitor Leakage Failure Rate Without Changing the Capacitor Structure or Materials in DRAM Mass Production," IEEE Transactions on Electron Devices, 65, 4839 (2018)

 

12. Gun Rae Kim, Sang-Su Ha, Cheol-Hyeon Park, Sangwoo Pae and Byoungdeog Choi, "The Bondability and Reliability of a Ternary Ag Alloy Wire on an Al Bonding Pad under N2-Free Conditions, " Materials Transactions, 59, 1487 (2018)

 

11. Younghwan Hyeon, Pyungho Choi, Sangsub Kim, Minsoo Kim, Jeonghyun Lee, Kiwon Lim, Soonkon Kim, Kwangjun Koo, and Byoungdeog Choi, "Electrical Characterization of Charge Polarity in AlF3 Anti-Reflection Layers for Complementary Metal Oxide Semiconductor Image Sensors," Journal of Nanoscience and Nanotechnology, 18, 6005 (2018)

 

10. Youngseung Cho, Hyunjin Ji, Hyoungsub Kim, Jinsuop Yoon, and Byoungdeog Choi, "New Insights into Mechanism of Surface Reactions of ZnO Nanorods During Electrons Beam Irradiation," Journal of Nanoscience and Nanotechnology, 18, 5996 (2018)

 

9. Joyce Lau, Sangsub Kim, Hyunki Kim, Kwangjun Koo, Jaeseob Lee, Sangsoo Kim, and Byoungdeog Choi, "Nonstoichiometric Solution-Processed BaTiO3 Film for Gate Insulator Applications," Journal of Nanoscience and Nanotechnology, 18, 5942 (2018)

 

8. Kiwon Lim, Pyungho Choi, Sangsub Kim, Hyunki Kim, Minsoo Kim, Jeonghyun Lee, Younghwan Hyeon, Kwangjun Koo, and Byoungdeog Choi, "Optimization of the Solution-Based Indium-Zinc Oxide/Zinc-Tin Oxide Channel Layer for Thin-Film Transistors," Journal of Nanoscience and Nanotechnology, 18, 5913 (2018)

 

7. Jeonghyun Lee, Pyungho Choi, Minsoo Kim, Kiwon Lim, Younghwan Hyeon, Soonkon Kim, Kwangjun Koo, Sangsoo Kim, and Byoungdeog Choi, "Investigation of the Charge Balance in Green Phosphorescent Organic Light-Emitting Diodes by Controlling the Mixed Host Emission Layer, " Journal of Nanoscience and Nanotechnology, 18, 5908 (2018)

 

6. Hyunki Kim, Pyungho Choi, Nayoung Lee, Soonkon Kim, Kwangjun Koo, Jaehyeong Lee, and Byoungdeog Choi, "Incorporation of Si and Zr into Pure HfO2 and Its Effects on Dielectric Integrity," Journal of Nanoscience and Nanotechnology, 18, 5899 (2018)

 

5. Minsoo Kim, Pyungho Choi, Jeonghyun Lee, Kiwon Lim, Younghwan Hyeon, Kwangjun Koo, and Byoungdeog Choi, "Reliability Improvement in Solution-Processed ZrO2 Dielectrics Due to Addition of H2O2," Journal of Nanoscience and Nanotechnology, 18, 5876 (2018)

 

4. Kwangjun Koo, Sangsub Kim, Pyungho Choi, Jaejin Kim, Keunho Jang, and Byoungdeog Choi, "Electrical evaluation of the crystallization characteristics of excimer laser annealed polycrystalline silicon active layer," Japanese Journal of Applied Physics, 57, 106503 (2018)

 

3. Youngseung Cho , Huijung Kim, Kyungho Jung, Bongsoo Kim, Yoosang Hwang, Hyeongsun Hong, and Byoungdeog Choi, "Suppression of the Floating-Body Effect of Vertical-Cell DRAM With the Buried Body Engineering Method," IEEE Transactions on Electron Devices, 65, 3237 (2018)

 

2. Pyungho Choi, Dohyun Baek, Sung Heo, Byoungdeog Choi, "Atomic layer-deposited (HfZrO4)1-x(SiO2)x thin films for gate stack applications," Thin Solid Films, 652, 2 (2018)

 

1. Jaeseob Lee and Byoungdeog Choi, "Effects of Channel Type and Doping on Hysteresis in Low-Temperature Poly-Si Thin-Film Transistors," IEEE Transactions on Electron Devices, 65, 986 (2018)

 

2017

5.  Jong-Min Lee, Dong-Sik Park, Seung-chul Yew, Soo-Ho Shin, Jun-Yong Noh, Hyoung-Sub Kim, and Byoung-Deog Choi, "Novel Approach for the Reduction of Leakage Current Characteristics of 20 nm DRAM Capacitors With ZrO2–Based High-k Dielectrics," IEEE Electron Device Letters, 38, 1524 (2017)

 

4. Ilwoo Jung, Bonggu Sung, and Byoungdeog Choi, "Novel Method for Nondestructive Body Effect Measurement in Dynamic Random Access Memory," Journal of Electronic Testing, 33, 669 (2017)

 

3. Hyunwoo Kim, Sangsub Kim, Hyunki Kim, Jaeseob Lee, Sangsoo Kim, and Byoungdeog Choi, "Electrical Properties of Solution-Processed Nanolaminates of ZrO2 and Al2O3 as Gate Insulator Materials for Thin-Film Transistors," Journal of Nanoscience and Nanotechnology, 17, 7209 (2017)

 

2. Sangsub Kim, Pyungho Choi, Hyunki Kim, Soonkon Kim, Junyong Shin, Jaeseob Lee, Sangsoo Kim, and Byoungdeog Choi, "Interface Traps Analysis in p-Type Poly-Si TFTs Under Hot Carrier Stress Using the Charge Pumping Method," Journal of Nanoscience and Nanotechnology, 17, 7101 (2017)

 

1. Sung Heo, Hyoungsun Park, Dong-Su Ko, Yong Su Kim, Yong Koo Kyoung, Hyung-Ik Lee, Eunae Cho, Hyo Sug Lee, Gyung-Su Park, Jai Kwang Shin, Dongjin Lee, Jieun Lee, Kyoungho Jung, Moonyoung Jeong, Satoru Yamada, Hee Jae Kang, and Byoung-Deog Choi, "Direct evidence of flat band voltage shift for TiN/LaO or ZrO/SiO2 stack structure via work function depth profiling," Scientific Reports, 7, 43561 (2017)

 

2016

16. Dongjun Lee, Ikhyung Joo, Changsub Lee, Duheon Song, and Byoungdeog Choi, "Abnormal degradation of high-voltage p-type MOSFET with n+ polycrystalline silicon gate during AC stress," Japanese Journal of Applied Physics, 55, 118005 (2016)

 

15. Jonghyuk Kang, Sungho Lee, and Byoungdeog Choi, "Electrical characterization of electron beam induced damage on sub-10nm n-channel MOS transistors using nano-probing technique," Japanese Journal of Applied Physics, 55, 111301 (2016)

 

14. Moonsoo Kim, Jongmin Kim, Jaehee Cho, Hyunwoo Kim, Nayoung Lee, Byoungdeog Choi, "A study of the characteristics of indium tin oxide after chlorine electro-chemical treatment," Materials Research Bulletin, 82, 115 (2016)

 

13. Jaehee Cho, Pyungho Choi, Nayoung Lee, Sangsoo Kim, and Byoungdeog Choi, "Dielectric Properties of Solution-Processed ZrO2 for Thin-Film Transistors," Journal of Nanoscience and Nanotechnology, 16, 10380 (2016)

 

12. Jongmin Kim, Pyungho Choi, Nayoung Lee, Sangsoo Kim, and Byoungdeog Choi, "Optimization of Solution-Processed ITZO/IGZO Dual-Active-Layer Thin-Film Transistors," Journal of Nanoscience and Nanotechnology, 16, 10373 (2016)

 

11. Pyungho Choi, Dongsoo Kim, Sangsub Kim, Hyunwoo Kim, and Byoungdeog Choi, "Interface Trap and Oxide Charge Generation in p-MOSFETs by Direct/Fowler-Nordheim Tunneling Under Negative Bias Temperature Stress," Journal of Nanoscience and Nanotechnology, 16, 10369 (2016)

 

10. Pyungho Choi, Hyunae Park, Hyunwoo Kim, Sangsoo Kim, and Byoungdeog Choi, "Comparison of Electrical Properties and Thermal Degradation of RGB-Organic Light Emitting Diodes," Journal of Nanoscience and Nanotechnology, 16, 10365 (2016)

 

9.  Jonghyuk Kang, Sungho Lee, and Byoungdeog Choi, "A New Test Method for Bit Line Disturbance Leakage Current in Dynamic Random Access Memory," Journal of Nanoscience and Nanotechnology, 16, 10361 (2016)

 

8. Sung Heo, JaeGwan Chung, Hyung-Ik Lee, Junho Lee, Jong-Bong Park, Eunae Cho, KiHong Kim, Seong Heon Kim, Gyeong Su Park, Dongho Lee, Jaehan Lee, Junggyu Nam, JungYup Yang, Dongwha Lee, Hoon Young Cho, Hee Jae Kang, Pyung-Ho Choi, and Byoung-Deog Choi, "Defect visualization of Cu(InGa)(SeS)2 thin films using DLTS measurement," Scientific Reports, 6, 30554 (2016)

 

7. Reza Javadi and Byoung Deog Choi, "Characterization of Inverted-Type Organic Solar Cell with Europium Doped Zinc Oxide as an Electron Transport Layer, "Journal of Nanoscience and Nanotechnology, 16, 8607 (2016)

 

6. Pyungho Choi, Hyunjin Kim, Sangsub Kim, Soonkon Kim, Reza Javadi, Hyoungsun Park, and Byoungdeog Choi, "Trap Profiling Based on Frequency Varied Charge Pumping Method for Hot Carrier Stressed Thin Gate Oxide Metal Oxide Semiconductors Field Effect Transistors," Journal of Nanoscience and Nanotechnology, 16, 4851 (2016)

 

5. Jonghyuk Kang, Sungho Lee, and Byoungdeog Choi, "DRAM Weak Cell Characterization for Retention Time," Journal of Nanoscience and Nanotechnology, 16, 5092 (2016)

 

4. Pyungho Choi, Junki Lee, Hyoungsun Park, Dohyun Baek, Jaehyeong Lee, Junsin Yi, Sangsoo Kim, and Byoungdeog Choi, "Fabrication and Characteristics of High Mobility InSnZnO Thin Film Transistors," Journal of Nanoscience and Nanotechnology, 16, 4788 (2016)

 

3. Soonkon Kim, Pyungho Choi, Sangsub Kim, Hyoungsun Park, Dohyun Baek, Sangsoo Kim, and Byoungdeog Choi, "Analysis of the Electrical Properties of an Electron Injection Layer in Alq3-Based Organic Light Emitting Diodes,"  Journal of Nanoscience and Nanotechnology, 16, 4742 (2016)

 

2. Dohyun Baek, Jaehyeong Lee, Pyungho Choi, and Byoungdeog Choi, "Photovoltaic Module Outdoor Characterization by Single Diode Model, "Science of Advanced Materials, 8, 554 (2016)

 

1. Kwang-Ryul Kim, Jae-Hee Cho, Na-Young Lee, Hyun-Jin Kim, Sung-Hak Cho,Hong-Jin Park, Byoungdeog Choi, "High-precision and ultrafast UV laser system for next-generation flexible PCB drilling," Journal of Manufacturing Systems, 38, 107 (2016)

 

2015

10. Sung Heo, Dahlang Tahir, Jae Gwan Chung, Jae Cheol Lee, KiHong Kim, Junho Lee, Hyung-Ik Lee, Gyeong Su Park, Suhk Kun Oh, Hee Jae Kang, Pyungho Choi, and Byoung-Deog Choi, "Band alignment of atomic layer deposited (HfZrO4)1-x(SiO2)x gate dielectrics on Si (100)," Applied Physics Letters, 107, 182101 (2015)

 

9. Reza Javadi, Pyung Ho Choi, Hyoung Sun Park, and Byoung Deog Choi, "Preparation and Characterization of P-Type and N-Type Doped Expanded Graphite Polymer Composites for Thermoelectric Applications," Journal of Nanoscience and Nanotechnology, 15, 9116 (2015)

 

8. Sang Sub Kim, Pyung Ho Choi, Do Hyun Baek, Jae Hyeong Lee, and Byoung Deog Choi, "Abnormal Threshold Voltage Shifts in P-Channel Low-Temperature Polycrystalline Silicon Thin Film Transistors Under Negative Bias Temperature Stress," Journal of Nanoscience and Nanotechnology, 15, 7555 (2015)

 

7. Soon Kon Kim, Pyung Ho Choi, Sang Sub Kim, Hyun Woo Kim, Na Young Lee, and Byoung Deog Choi, "Electrical Properties of Solution Processed In–Ga–ZnO Thin Film Transistors with Multi-Stacked Active Layer,"  Journal of Nanoscience and Nanotechnology, 15, 7508 (2015)

 

6. Sun Wook Ko, Soon Kon Kim, Jong Min Kim, Jae Hee Cho, Hyoung Sun Park, and Byoung Deog Choi, "Electrical Properties and Reliability Analysis of Solution-Processed Indium Tin Zinc Oxide Thin Film Transistors with O2-Plasma Treatment," Journal of Nanoscience and Nanotechnology, 15, 7476 (2015)

 

5. Pyung-Ho Choi, Jong-Min Kim, Moon-Soo Kim, Jae-Hee Cho, Do-Hyun Baek, Sang-Soo Kim and Byoung-Deog Choi, "Enhanced Efficiency of Multicrystalline Silicon Solar Cells Made via UV Laser Texturing," Journal of the Korean Physical Society, 67, 991 (2015)

 

4. Dongjun Lee, Chungje Na, Chiwoo Lee, Changsub Lee, Sunghoi Hur, Duheon Song, Junghyuk Choi, and Byoungdeog Choi, "A Study of High-Voltage p-Type MOSFET Degradation Under AC Stress," IEEE Transactions on Electron Devices, 62, 2940 (2015)

 

3. Sung Heo, Eunseog Cho, Hyung-Ik Lee, Gyeong Su Park, Hee Jae Kang, T. Nagatomi, Pyungho Choi, and Byoung-Deog Choi, "Band gap and defect states of MgO thin films investigated using reflection electron energy loss spectroscopy," AIP Advances, 5, 077167 (2015)

 

2. Sung Heo, Hyung-Ik Lee, Taewon Song, Jong-Bong Park, Dong-Su Ko, JaeGwan Chung, KiHong Kim, Seong Heon Kim, Dong-Jin Yun, YongNam Ham, Gyeong Su Park, Dongho Lee, Junggyu Nam, Hee Jae Kang, Pyung-Ho Choi, and Byoung-Deog Choi, "Direct band gap measurement of Cu(In,Ga)(Se,S)2 thin films using high-resolution reflection electron energy loss spectroscopy," Applied Physics Letters, 106, 261601 (2015)

 

1. Kwang-Ryul Kim, Hyun-Jin Kim, Hyun-Il Choi, Keong-Sub Shin, Sung-Hak Cho, Byoung-Deog Choi, "Ultrafast laser microfabrication of a trapping device for colorectal cancer cells," Microelectronic Engineering, 140, 1 (2015)

 

2014

6. Pyung Ho Choi, Do Hyun Baek, Hyoung Sun Park, Sang Sub Kim, Jun Sin Yi, Sang Soo Kim, and Byoung Deog Choi, "Temperature-Dependent Electrical Characteristics of c-Si and CIGS Solar Cells," Journal of Nanoscience and Nanotechnology, 14, 9206 (2014)

 

5. Dohyun Baek, Jaehyeong Lee, Byoungdeog Choi, "Diffusion length and resistivity distribution characteristics of silicon wafer by photoluminescence," Materials Research Bulletin, 58, 157 (2014)

 

4. Dohyun Baek, Jaehyeong Lee and Byoungdeog Choi, "Surface Recombination Evaluation of a Silicon Wafer by Using the Photoluminescence Intensity Ratio Method for Solar Cell Applications," Journal of the Korean Physical Society, 65, 336 (2014)

 

3. Chang-Hoon Han, Sang-Sub Kim, Kwang-Ryul Kim, Do-Hyun Baek, Sang-Soo Kim, and Byoung-Deog Choi, "Effects of electron trapping and interface state generation on bias stress induced in indium–gallium–zinc oxide thin-film transistors," Japanese Journal of Applied Physics, 53, 08NG04 (2014)

 

2. Kiyong Kim, Joongho Yoon, Yungsam Kim, Hongsig Kim, Haebum Lee, Insoo Cho, Sangsoo Kim and Byoungdeog Choi, "Effect of field oxide structure on endurance characteristics of NAND flash memory," Elelctronics Letters, 50, 739 (2014)

 

1. Hyojung Kim, Pyungho Choi, Kwangsoo Kim, Hyungsuk Kuh, Dohyun Beak, Jaehyung Lee, Junsin Yi, and Byoungdeog Choi, "Performance Degradation of c-Si Solar Cells Under UV Exposure," Journal of Nanoscience and Nanotechnology, 14, 3561 (2014)

 

2013

8. Hyung-Joon Kim, Pyungho Choi, Kwangsoo Kim, and Byoungdeog Choi, "A Study on the Fluorine Effect of Direct Contact Process in High-Doped Boron Phosphorus Silicate Glass (BPSG)," Journal of Semiconductor Technology and Science, 13, 662 (2013)

 

7. P.H. Choi, D.H. Baek, H.J. Kim, K.S. Kim, H.S. Park, S.S. Kim and B.D. Choi, "Fabrication methods for performance improvement of Cu(In,Ga)Se2 thin film solar cells," Electronics Letters, 49, 1561 (2013)

 

6. Hyung-Joon Kim, Kyung-Su Lee, Pyungho Choi, Kwang-Soo Kim, Dohyun Baek, and Byoungdeog Choi, "Effect of Bias Temperature Stress on the Anti-Reflection HfO2 Layer in Complementary Metal Oxide Semiconductor Image Sensors," Japanese Journal of Applied Physics, 52, 10MC02 (2013)

 

5. Kwangsoo Kim, Byoungseon Choi, Dohyun Baek, Hyungwook Kim and Byoundeog Choi, "Drain Leakage and Hot Carrier Reliability Characteristics of Asymmetric Source-drain MOSFET," Journal of the Korean Physical Society, 63, 1023 (2013)

 

4. K.S. Kim, H.J. Kim, P.H. Choi, H.S. Park, I.H. Joo, J.E. Song, D.H. Song, B.D. Choi, "Hot hole-induced device degradation by drain junction reverse current," Microelectronics Reliability, 53, 947 (2013)

 

3. Kwangsoo Kim, Pyungho Choi, Hyungjoon Kim, Hyoungsun Park, and Byoungdeog Choi, "Determination of the Drain Saturation Voltage of a Metal–Oxide–Semiconductor Field-Effect Transistor by the Capacitance–Voltage Method," Japanese Journal of Applied Physics, 52, 068005 (2013)

 

2. P.H. Choi, D.H. Baek, H.J. Kim, K.S. Kim, H.S. Park, J.H. Lee, J.S. Yi and B.D. Choi, "Localised back contact to ONO passivated c-Si solar cells using laser fired contact method," Electronics Letters, 49, 290 (2013)

 

1. Kwang-Ryul Kim, Tae-Hoon Kim, Hyun-Ae Park, Sun-Yong Kim, Sung-Hak Cho, Junsin Yi, Byoung-Deog Choi, "UV laser direct texturing for high efficiency multicrystalline silicon solar cell," Applied Surface Science, 264, 404 (2013)

 

2012

4. Hyunho Park, Byoungdeog Choi, "A study on the performance of metal-oxide-semiconductor-field-effecttransistors with asymmetric junction doping structure," Current Applied Physics, 12, 1503 (2012)

 

3. Kwang-Soo Kim, Chang-Hoon Han, Jun-Ki Lee, Dong-Soo Kim, Hyong-Joon Kim, Joong-Shik Shin, Hea-Beoum Lee, and Byoung-Deog Choi, "Hot-Electron-Induced Device Degradation during Gate-Induced Drain Leakage Stress," Japanese Journal of Applied Physics, 51, 111202 (2012)

 

2. Pyungho Choi, Hyojung Kim, Dohyun Baek, and Byoungdeog Choi, "A Study on the Electrical Characteristic Analysis of c-Si Solar Cell Diodes," Journal of Semiconductor Technology and Science, 12, 59 (2012)

 

1. Kong-Soo Lee, Jae-Jong Han, Hanjin Lim, Seokwoo Nam, Chilhee Chung, Hong-Sik Jeong, Hyunho Park, Hanwook Jeong, and Byoungdeog Choi, "Cost-Effective Silicon Vertical Diode Switch for Next-Generation Memory Devices," IEEE Electron Device Letters, 33, 242 (2012)

 

2011

5. Jisoo Ko, Daeyeong Gong, Krishnakumar Pillai, Kong-Soo Lee, Minkyu Ju, Pyungho Choi, Kwang-Ryul Kim, Junsin Yi, Byoungdeog Choi, "Double layer SiNx:H films for passivation and anti-reflection coating of c-Si solar cells," Thin Solid Films, 519, 6887 (2011) 

 

4. Jun-woo Lee, Hwan-woo Kim, Hyung-joon Kim, Seog-gyu Kim, Kyu-pil Lee, Soo-cheol Lee, Dong-gun Park, Byoung-deog Choi, "Reduction of plasma-induced damage during HDP-CVD oxide deposition in the inter layer dielectric (ILD) process," Microelectronic Engineering, 88, 2489 (2011)

 

3. K.S. Lee, J.J. Han, B.H. Kim, H.J. Lim, S.W. Nam, H.K. Kang, C.H. Chung, H.S. Jeong, H.H. Park, H.W. Jeong, K.R. Kim and B.D. Choi, "Highly manufacturable silicon vertical diode switches for new memories using selective epitaxial growth with batch-type equipment," Semiconductor Science and Technology, 26, 055022  (2011)

 

2. Kong-Soo Lee, Chadong Yeo, Dae-Han Yoo, Seok-Sik Kim, Joo-Tae Moon, Soon-Moon Jung, Yong-Hoon Son, Hyunho Park, Hanwook Jeong, Kwang-Ryul Kim, and Byoungdeog Choi, "Low-Temperature Solid Phase Epitaxial Regrowth of Silicon for Stacked Static Random Memory Application," Japanese Journal of Applied Physics, 50, 01AB06 (2011)

 

1. Hyunho Park, Kong-soo Lee, Dohuyn Baek, Juseong Kang, Byungse So, Seok Il Kwon, and Byoungdeok Choi, "Electrical Extractions of One Dimensional Doping Profile and Effective Mobility for Metal–Oxide–Semiconductor Field-Effect Transistors," Japanese Journal of Applied Physics, 50, 01AA01 (2011) 

 

2010

1. Kong-Soo Lee, Dae-Han Yoo, Jae-Jong Han, Yong-Woo Hyung, Seok-Sik Kim, Chang-Jin Kang, Hong-Sik Jeong, Joo-Tae Moon, Hyunho Park, Hanwook Jeong, Kwang-Ryul Kim, and Byoungdeog Choi, "Selective Epitaxial Growth of Silicon for Vertical Diode Application," Japanese Journal of Applied Physics, 49, 08JF03  (2010)

 

2009

2. Kwang-Ryul Kim, Junsin Yi, Sung-Hak Cho, Nam-Hyun Kang, Myung-Woo Cho, Bo-Sung Shin, Byoungdeog Choi, "SLM-based maskless lithography for TFT-LCD," Applied Surface Science, 255, 7835 (2009)

 

1. R. Kakkad and B. D. Choi, "Enhancement of Solid-phase Crystallization Kinetics of Amorphous Silicon by Annealing in a High-pressure H2O Ambient," Journal of the Korean Physical Society, 55, 14 (2009)